云文档网 - 专业文章范例文档资料分享平台

数字电子技术基础习题集2-答案

来源:网络收集 时间:2024-04-29 下载这篇文档 手机版
说明:文章内容仅供预览,部分内容可能不全,需要完整文档或者需要复制内容,请下载word后使用。下载word有问题请添加微信号:xuecool-com或QQ:370150219 处理(尽可能给您提供完整文档),感谢您的支持与谅解。点击这里给我发消息

数字电子技术基础习题集2

一、组合逻辑电路部分 电路分析:

1. 下图所示电路中,Y1 =______;Y2 =______;Y3 =______。

A B Y1 Y2 Y3

答案Y1=A B; Y2=A B+A B ; Y3=AB

2. 下图所示电路中,Y1 =______;Y2 =______;Y3 =______。

Y2 Y1

A

B

A 1 B A B C

Y3

Y1??A?B?C Y2?A?B Y3?B?AB?A?AB?AB?AB?A⊙B ;

电路设计:

1.已知某电路的真值表如下,该电路的逻辑表达式为 。

A.Y?C B. Y?ABC C.Y?AB?C D.Y?BC?C

A 0 0 0 0 C

B 0 0 1 1 C 0 1 0 1 Y 0 1 0 1 A 1 1 1 1 B 0 0 1 1 C 0 1 0 1 Y 0 1 1 1

MS C B A

ML

2、有一水箱由大、小两台水泵ML和MS供水,如图3.1所示,箱中设置了3个水位检测元件A、B、C。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C点时水泵停止工作;水位低于C点而高于B点时MS单独工作;水位低于B点而高于A点时ML单独工作;水位低于A点时ML和MS同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。

解:列出满足逻辑要求的真值表并化简(化简方法可多种自选)

A 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 MS 0 1 X 0 X X X 1 ML 0 0 X 1 X X X 1 化简得 MS?A?BC ML?B

作出逻辑电路图

B 1 ML

A C & ≥1 Ms

二、触发器部分

触发器电路如下图所示,试根据CP及输入波形画出输出端Q1 、Q2 的波形。设各触发器的初始状态均为“0”。

CP A Q1 Q2 A CP CP A D Q Q Q2 Q1

答案:

CP A Q1 Q2

三、时序电路

1.某计数器的状态转换图如下,其计数的容量为______

A. 八 B. 五 C. 四 D. 三

111 000 001 010 110 101 B

100 011 2.分析图示触发器功能,写出其输出端Q1 、Q2的表达式,并根据给定A、CP信号的波形画出各输出端的波形。设各触发器的初始状态均为“0”。

3.TTL触发器电路如图所示,试在CP信号的计数周期内,画出各输出端的波形。

“1” CP

J Q KQ Q0 J Q K Q Q1

CP Q0 Q1 答案

CP Q0 Q1

4.说明图示电路的功能。要求:(1)写出每个触发器的驱动方程、状态方程;(2)列出状态转换表;画出状态图;根据给定CP信号的波形画出各触发器输出端Q1、Q2、Q3的波形。(设各触发器的初始状态均为“0”)

答案:

驱动方程: J1?Q3;K1?1;J2?K2?Q1;J3?Q1Q2;K3?1 状态方程:

n?1nn Q1?Q3Q1?1nnnnnnQn?QQ?QQ?Q?Q2121212 n?1nnnQ3?Q1Q2Q3

状态表: Q1 Q2 Q3 Q1 Q2 Q3 0 0 0 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 0 1 1 1 0 0 1 0 0 0 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 0 0 电路功能: 同步五进制加法计数器

nnnn+1n+1n+1

百度搜索“yundocx”或“云文档网”即可找到本站免费阅读全部范文。收藏本站方便下次阅读,云文档网,提供经典综合文库数字电子技术基础习题集2-答案在线全文阅读。

数字电子技术基础习题集2-答案.doc 将本文的Word文档下载到电脑,方便复制、编辑、收藏和打印 下载失败或者文档不完整,请联系客服人员解决!
本文链接:https://www.yundocx.com/wenku/181254.html(转载请注明文章来源)
Copyright © 2018-2022 云文档网 版权所有
声明 :本网站尊重并保护知识产权,根据《信息网络传播权保护条例》,如果我们转载的作品侵犯了您的权利,请在一个月内通知我们,我们会及时删除。
客服QQ:370150219 邮箱:370150219@qq.com
苏ICP备19068818号-2
Top
× 游客快捷下载通道(下载后可以自由复制和排版)
单篇付费下载
限时特价:7 元/份 原价:20元
VIP包月下载
特价:29 元/月 原价:99元
低至 0.3 元/份 每月下载150
全站内容免费自由复制
VIP包月下载
特价:29 元/月 原价:99元
低至 0.3 元/份 每月下载150
全站内容免费自由复制
注:下载文档有可能“只有目录或者内容不全”等情况,请下载之前注意辨别,如果您已付费且无法下载或内容有问题,请联系我们协助你处理。
微信:xuecool-com QQ:370150219