云文档网 - 专业文章范例文档资料分享平台

电子系

  • 交大电子系VHDL四位有符号数除法实验报告

    实验三. 四位有符号数除法 一. 实验目的 使用硬件描述语言(VHDL)对除法器进行描述,并进行模拟和验证,熟悉fpga开发基本 流程,加深对二进制数运算方法的理解。 二.实验内容 设计四位定点有符号整数除法器(op=ai÷bi),软件仿真通过后下载到FPGA板子进行验证: 1、...

    发布日期:2018-10-12 21:44:58
    共1页/1条
Copyright © 2018-2022 云文档网 版权所有
声明 :本网站尊重并保护知识产权,根据《信息网络传播权保护条例》,如果我们转载的作品侵犯了您的权利,请在一个月内通知我们,我们会及时删除。
客服QQ:370150219 邮箱:370150219@qq.com
苏ICP备19068818号-2
Top